专利摘要:
A method of forming an interlayer dielectric on a semiconductor device is disclosed. First, a phosphorous doped oxide layer is deposited on the semiconductor device to fill gaps and provide phosphorous for gettering. Then, an undoped oxide layer is deposited and planarized using chemical mechanical polishing (CMP). The undoped oxide layer is denser than the phosphorous doped oxide layer, so the undoped oxide layer can be polished more uniformly than the phosphorous doped oxide layer and can serve as a polish stop for a subsequent tungsten plug polish. Also, the denser undoped oxide layer serves as a more effective moisture barrier than the doped oxide layer. Overall fabrication process complexity can be reduced by performing both oxide depositions in a single operation with no intervening densification or CMP steps.
公开号:US20010000012A1
申请号:US09/726,504
申请日:2000-11-29
公开日:2001-03-15
发明作者:Ebrahim Andideh
申请人:Ebrahim Andideh;
IPC主号:H01L21-76819
专利说明:
[1] 1. The present invention relates to the field of semiconductor processing and more particularly to a method of forming an interlayer dielectric in an integrated circuit. BACKGROUND OF THE INVENTION
[2] 2. One prior method of forming an interlayer dielectric (ILD) in an integrated circuit, described in the pending U.S. patent application entitled “Capped Interlayer Dielectric for Chemical Mechanical Polishing”, with Ser. No. 08/536,007 and assigned to the present assignee, is illustrated in FIGS. 1a through 1 h. FIG. 1a is an illustration of a cross sectional view of semiconductor devices 100 formed on silicon substrate 101 and isolated from each other by field oxide region 102.
[3] 3.FIG. 1b shows the substrate of FIG. 1a covered by phosphosilicate glass (PSG) 103, or alternatively, a borophosphosilicate glass layer. PSG layer 103 is formed with a conventional deposition technique that exhibits superior gap filling ability, such as atmospheric or subatmospheric chemical vapor deposition.
[4] 4. As shown in FIG. 1b, the top surface 104 of PSG layer 103 is nonplanar due to the underlying topography created by devices 100 and filed field oxide regions 102. Therefore, the top surface 104 of PSG layer 103 is planarized by chemical mechanical polishing (CMP) to create planar top surface 105 of PSG layer 103 as shown in FIG. 1c. Since CMP removes denser layers slower than less dense layers, PSG layer 103 is densified prior to the CMP step to reduce the removal rate, thereby increasing process controllability. Also, the thickness of PSG layer 103 as deposited is much greater than the post CMP thickness to provide a large margin for variation in the CMP process. Typical thicknesses of PSG layer 103 are 18,000 A as deposited and 4,500 A post CMP.
[5] 5.FIG. 1d shows the substrate of FIG. 1c after cap layer 106 is deposited over planarized PSG layer 103. Cap layer 106 is an undoped oxide layer formed by plasma enhanced chemical vapor deposition with tetraethyl orthosilicate as the silicon source. Cap layer 106 is denser than PSG layer 103, which allows cap layer 106 to serve as moisture barrier and a polish stop for a subsequent tungsten CMP step involved in forming tungsten plugs. Also, cap layer 106 is thinner than PSG layer 103, approximately 2,000 A compared to 4,500 A.
[6] 6.FIG. 1e shows the substrate of FIG. 1d after openings 107 have been formed through cap layer 106 and PSG layer 103 to prepare for making electrical contact to underlying devices 100. FIG. 1f shows the substrate of FIG. 1e after plug layer 108 has been deposited, filling openings 107. Plug layer 108 is tungsten over a composite adhesion layer of titanium nitride over titanium. FIG. 1g shows the substrate of FIG. 1f after plug layer 108 has been polished to form plugs 109. Finally, FIG. 1h shows the substrate of FIG. 1g after metal interconnects 110 are formed on cap layer 106.
[7] 7. Although this prior method of forming a PSG ILD is compatible with a CMP plug process, the ILD process is more complex than that of a single layer ILD. Therefore, what is desired is a less complex method for forming a PSG ILD layer that is compatible with a CMP plug process. SUMMARY OF THE INVENTION
[8] 8. A method of forming an interlayer dielectric on a semiconductor device is disclosed. First, an insulating layer comprising phosphorous is deposited on the semiconductor device. Then, another insulating layer which is denser than the first insulating layer is deposited. Finally, the planarity of the second insulating layer is increased using chemical mechanical polishing. BRIEF DESCRIPTION OF THE DRAWINGS
[9] 9.FIG. 1a is an illustration of a cross sectional view of a semiconductor devices on a silicon substrate.
[10] 10.FIG. 1b shows the substrate of FIG. 1a after deposition of a PSG layer.
[11] 11.FIG. 1c shows the substrate of FIG. 1b after planarization.
[12] 12.FIG. 1d shows the substrate of FIG. 1c after deposition of a cap layer.
[13] 13.FIG. 1e shows the substrate of FIG. 1d after openings have been formed in the cap and PSG layers.
[14] 14.FIG. 1f shows the substrate of FIG. 1e after deposition of a plug layer.
[15] 15.FIG. 1g shows the substrate of FIG. 1f after after plugs have been formed by polishing the plug layer.
[16] 16.FIG. 1h shows the substrate of FIG. 1g after interconnects have been formed.
[17] 17.FIG. 2 is an illustration of a cross sectional view of of high density integrated circuit containing interconnected semiconductor devices with the interconnect layer isolated from the device layer by an ILD formed with one embodiment of the present invention.
[18] 18.FIG. 3 is an illustration of a cross sectional view of semiconductor devices on a silicon substrate.
[19] 19.FIG. 4 shows the substrate of FIG. 3 after a bottom insulating layer has been formed according to one embodiment of the present invention.
[20] 20.FIG. 5a depicts the undesired effect of a bottom insulating layer that is too thin.
[21] 21.FIG. 5b depicts the desired effect of a bottom insulating layer that is sufficiently thick.
[22] 22.FIG. 6a depicts the gap filling ability of an SACVD film.
[23] 23.FIG. 6b depicts the gap filling ability of a PECVD film.
[24] 24.FIG. 6c shows a void formed because of the inferior gap filling ability of a PECVD film.
[25] 25.FIG. 6d shows the substrate of FIG. 6b after an argon sputter etch.
[26] 26.FIG. 7 shows the substrate of FIG. 4 after a top insulating layer has been formed according to one embodiment of the present invention.
[27] 27.FIG. 8 shows the substrate of FIG. 7 after CMP of the top insulating layer according to one embodiment of the present invention.
[28] 28.FIG. 9 shows the substrate of FIG. 8 after openings have been formed through the top and bottom insulating layers.
[29] 29.FIG. 10 shows the substrate of FIG. 9 after the openings have been filled by a blanket deposition of a plug layer.
[30] 30.FIG. 11 shows the substrate of FIG. 10 after CMP of the plug layer.
[31] 31.FIG. 12 shows the substrate of FIG. 11 after the formation of interconnects.
[32] 32.FIG. 13 shows the substrate of FIG. 12 after an ILD has been formed to isolate two levels of interconnects. DETAILED DESCRIPTION OF A PREFERRED EMBODIMENT
[33] 33. A method of forming an interlayer dielectric (ILD) on a semiconductor device is described. In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. It will be obvious, however, to one skilled in the art that the present invention can be practiced without these specific details. In other instances, some details have been omitted in order to avoid obscuring the present invention.
[34] 34.FIG. 2 is an illustration of a cross sectional view of a high density integrated circuit having a semiconductor device layer isolated from an interconnect layer by an ILD formed with one embodiment of the present invention. Semiconductor devices 200 on silicon substrate 201 are isolated from each other by field oxide region 202. ILD 203 lies above devices 200 and below interconnect 204. Plugs 205 penetrate ILD 203 to provide electrical contact between devices 200 and interconnects 204.
[35] 35. Two layers of insulating material form ILD 203. Bottom insulating layer 206 is doped with phosphorous to getter moisture and mobile ions from the vicinity of devices 200. Top insulating layer 207 is denser than bottom insulating layer 206 to allow top layer 207 to serve as an etch stop during a chemical mechanical polish (CMP) step in which plugs 205 are formed. No CMP step is needed between the deposition of the bottom insulating layer and the top insulating layer, providing a number of possibilities for decreasing process complexity. First, the step of densifying the bottom insulating layer can be eliminated. Second, the two ILD layers can be deposited in the same equipment without removal from the equipment for an intervening CMP step. Third, instead of planarizing the bottom insulating layer with a unique CMP process, the top insulating layer can be planarized with the same CMP process that is used for other ILDs within the same fabrication flow.
[36] 36. One fabrication process embodying the present invention is illustrated in FIGS. 3 through 12. This process description begins after semiconductor devices 300 have been formed on a semiconductor substrate 301 as shown in FIG. 3. In this illustration devices 300 are metal oxide semiconductor transistors isolated from each other by field oxide regions 302. However, substrate 301 may contain other completed or partially completed active or passive devices such as, but not limited to, bipolar transistors, thin film transistors, capacitors, and resistors. Also, the present invention can be used to isolate one interconnect layer from another, in which case semiconductor devices 300 would already be covered with at least one insulating layer and at least one interconnect layer at the point at which this process description begins. If desired, the device layer can be covered with a thin (less than 2,000 A) layer of silicon nitride (Si3N4) to block moisture and dopants from reaching the devices.
[37] 37. The first step in this exemplary process is the formation of bottom insulating layer 400 shown in FIG. 4. Bottom insulating layer is doped with phosphorous to getter moisture and mobile ions in the vicinity of the devices. For that purpose the preferred amount of phosphorous is at least one percent by weight. However, excess phosphorous can lead to the formation of phosphoric acid which can cause corrosion. Therefore, the preferred amount of phosphorous is at most eight percent by weight. Bottom insulating layer 400 can also be doped with boron if desired, to exploit the tendency of boron doped films to fill narrow gaps between devices better than films without boron.
[38] 38. Bottom insulating layer 400 is thick enough to provide enough phosphorous to effectively getter moisture and mobile ions. Bottom insulating layer 400 is also thick enough to fill narrow gaps between devices to an extent such that the subsequent deposition of a nonconformal top insulating layer will not result in the formation of voids. This criteria is illustrated in FIGS. 5a and 5 b. FIG. 5a shows the undesired effect of a bottom insulating layer 500 that is too thin to prevent the nonconformal deposition of top insulating layer 501 from forming void 502. Void 502 is undesirable because it can serve as a pocket for moisture and contaminants, and can provide a seam in which subsequent etch steps can proceed uncontrollably in an undesired direction. FIG. 5b shows the desired effect of a bottom insulating layer 503 that is sufficiently thick to fill the gap to an extent that the nonconformal deposition of top insulating layer 504 does not form a void. The requisite thickness of bottom insulating layer 502 depends on the width 505 of the gap and the depth 506 of the gap. To sufficiently fill gaps with widths and heights of approximately one micron, the preferred thickness of bottom insulating layer 400 is at least 100 A.
[39] 39. On the other hand, the preferred thickness of bottom insulating layer 400 is at most 5,000 A, primarily driven by the desire to capitalize on one advantage of the present invention, that is, the elimination of the CMP of bottom layer 400 and the associated need to provide a large margin for variation in that CMP step. The ability to reduce the as deposited thickness of bottom insulating layer 400 reduces processing time, material cost, and potential for processing variation and error.
[40] 40. Bottom insulating layer 400 can be formed from any insulating material, such as an oxide. In one embodiment bottom insulating layer 400 is a phosphorous doped silicon dioxide (SiO2) film formed by any of a variety of well known chemical vapor deposition (CVD) techniques. These techniques include CVD using silane (SiH4) or tetraethyl orthosilicate (TEOS) as a silicon source, CVD at atmospheric or subatmospheric pressures, and CVD with reactions that are thermally or chemically activated or plasma enhanced. Some of the factors to consider in the selection of the deposition technique are the deposition rate and the gap filling ability, the quality, the adhesive ability, and the stress characteristics of the resulting film. One advantage of the present invention is that because there is no requirement that bottom insulating layer 400 be thick enough to provide margin for a CMP step, the factors of deposition rate and gap filling ability become less important, allowing more weight to be placed on the remaining factors.
[41] 41. For example, compare the gap filling ability of a thermally activated subatmospheric CVD (SACVD) film, as illustrated in FIG. 6a, to that of a plasma enhanced CVD (PECVD) film, as illustrated in FIG. 6b. If the inferior gap filling ability of a PECVD film causes void formation when the film thickness is 10,000 A, as shown in FIG. 6c, the advantages of a PECVD film, such as its superior quality, adhesive ability, and compressive stress characteristics cannot be realized. In contrast, the present invention allows for the use of a PECVD film because bottom insulating layer 400 can be thin enough such that the top of the gap is not closed, so that despite the breadloafing effect shown in FIG. 6b, voids are not formed. Advanced PECVD techniques such as dual frequency PECVD or high density plasma CVD techniques can be used to provide a denser, more conformal film with a lower dielectric constant.
[42] 42. To prevent the breadloafing effect as shown in FIG. 6b from causing voids to form in the subsequently deposited top insulating layer, a sputtering technique such as that described in U.S. Pat. No. 5,270,264, entitled “Process for Filling Submicron Spaces with Dielectric”, can be used to remove some of the insulating material from the breadloaf edges and redeposit that material in the gap. For example, an argon sputter etch of the substrate illustrated in FIG. 6b, at a pressure between approximately 20 and 500 mTorr and a power between approximately 50 and 1000 W, can result in a redistribution of approximately 50 A to 500 A of the insulating layer material as illustrated in FIG. 6d. Although the use of a PECVD deposition for the bottom insulating layer adds this sputter step to the process flow, the overall process complexity can be reduced because the PECVD of the bottom insulating layer, the argon sputter, and the PECVD of a yet to be described top insulating layer can all be performed in a single operation, with each step taking place in a separate chamber of the same piece of equipment, for example an Applied Materials Precision 5000 (AMAT P5000) system.
[43] 43. Furthermore, by enabling the use of PECVD for bottom insulating layer 400, the present invention has the further advantage that it provides for the elimination of the densification step described in the background section. In other words, bottom insulating layer 400 can be undensified, meaning that it has not been subjected to a thermal process with the goal of driving out moisture. PECVD provides a drier oxide than atmospheric or subatmospheric CVD, therefore no densification step is needed to drive out moisture. In addition to reducing the process complexity, the elimination of the densification step removes a high temperature (typically 500 degrees C. to 900 degrees C.) operation from the process flow. Therefore, the present invention can be used to decrease device size and increase device performance by limiting junction depths, and can be used to form a dielectric above a metal such as aluminum or a silicide that cannot be subjected to a high temperature. Nevertheless, if a densification of bottom insulating layer 400 is desired, a densification step using well known techniques can be performed within the scope of the present invention. A preferred technique for densification of bottom insulating layer 400 is rapid thermal processing.
[44] 44. Continuing with the process flow of this embodiment, top insulating layer 700, as shown in FIG. 7, is formed directly on top of bottom insulating layer 400. Top insulating layer 700 differs from bottom insulating layer 400 in chemical composition and physical characteristics. First, while bottom insulating layer 400 is doped with phosphorous, there is no need to dope top insulating layer 700 with phosphorous because top insulating layer 700 is separated from devices 300 by bottom insulating layer 400 which comprises sufficient phosphorous for gettering. Second, to allow for the removal of a portion on top insulating layer 700 in a subsequent planarization step, top insulating layer 700 is thicker as deposited than bottom insulating layer 400. Therefore, compared to the prior method of having a thicker bottom insulating layer, with the present invention it is easier to achieve a net compressive stress when using a bottom layer, such as an SACVD oxide, that exerts a tensile stress. An ILD with a net compressive stress is desired because it is less likely to crack. Third, the material of top insulating layer 700 has a lower dielectric constant that of bottom insulating layer 400 to reduce capacitive coupling within and between conductive layers. Since with the present invention bottom insulating layer 400 can be thin enough to allow a portion of the ILD material in the gaps between devices to be the lower dielectric constant material of top insulating layer 700, the present invention can allow for narrower gaps and reduced total area. Finally, top insulating layer 700 is denser than bottom insulating layer 400 so that top insulating layer 700 can serve as a polish stop for a subsequent plug formation process.
[45] 45. Within the chemical and physical constraints listed above, top insulating layer 700 can be any insulating material, such as an oxide. In a preferred embodiment top insulating layer 700 is an undoped silicon dioxide (SiO2) film formed by any conventional or advanced CVD technique. Advanced PECVD techniques such as dual frequency PECVD or high density plasma CVD can be used to provide a denser, more conformal film with a lower dielectric constant. In one embodiment, top insulating layer 700 is formed by TEOS based PECVD in one chamber of an AMAT P5000 after bottom insulating layer 400 has been deposited and sputter etched in the same system. In another embodiment, top insulating layer 700 is an oxide formed by conventional PECVD or high density plasma CVD, with fluorine incorporated to lower the dielectric constant.
[46] 46. The thickness of top insulating layer 700 depends on the height and width of gaps between the underlying devices. Top insulating layer 700 is deposited to be thick enough so that there is sufficient margin for a subsequent planarizing step, and so that it provides sufficient insulating strength after the planarizing step. However, top insulating layer 700 is not so thick as to preclude a manufacturable etch process from penetrating the ILD to form plug holes. Therefore, the preferred deposited thickness of top insulating layer 700 is between approximately 5,000 A and approximately 20,000 A.
[47] 47. As shown in FIG. 7, the top surface 701 of top insulating layer 700 is nonplanar due to the underlying topography created by devices 300 and field oxide regions 302. Such nonplanarity leads to processing problems such as poor resolution in subsequent lithography steps and poor step coverage of subsequent interconnect layers. Therefore, the next step in this exemplary process is performed to increase the planarity of the top surface of top insulating layer 700. In a preferred embodiment, a CMP process is used for this step because it results in a more global planarization than a reflow or etchback process. In one such CMP process, top insulating layer 700 is polished using a slurry containing a mixture of ceria and silica in a basic solution.
[48] 48. Performing CMP on top insulating layer 700 as in the present invention has two major advantages over performing CMP on a phosphorous doped atmospheric or subatmospheric CVD bottom insulating layer as in the prior method. First, top insulating layer 700 is denser than the phosphorous doped film, even if the phosphorous doped film has been densified. The polish rate of a denser film is slower, so the polish is more controllable and more uniform. Second, a typical multilevel metal process has additional CMP steps of ILD layers that are more similar in composition to top insulating layer 700 than to a phosphorous doped layer. Therefore, the CMP of the phosphorous doped layer of the previous method is likely to be a unique process step, whereas the CMP of top insulating layer 700 is likely to be a process step that can be used elsewhere in the same process.
[49] 49.FIG. 8 shows the substrate of FIG. 7 after completion of the CMP step. The top surface 800 of top insulating layer 700 is now substantially planar. Top insulating layer 700 is thick enough after CMP to provide sufficient insulating strength between conductive layers, but not so thick as to preclude a manufacturable etch process from penetrating the ILD to form plug holes. Therefore, the preferred thickness of top insulating layer 700 after CMP is between approximately 500 A and approximately 10,000 A.
[50] 50. Next, openings 900, as shown in FIG. 9, are formed through top insulating layer 700 and bottom insulating layer 400. Any well known method can be used to form openings 900, such as reactive ion etching with a freon based chemistry.
[51] 51. Then, as shown in FIG. 10, openings 900 are filled by a blanket deposition of plug layer 1000. Although plug layer 1000 can be formed with any conductive material, a preferred composition of plug layer 1000 is tungsten over a composite adhesion layer of titanium nitride over titanium. Tungsten is used because it can be formed very conformally allowing for the filling of high aspect ratio openings. The adhesion layer helps the tungsten adhere to top insulating layer 700. A preferred method of forming plug layer 1000 as described above is to first sputter deposit a thin layer (for example, approximately 200 A) of titanium over top insulating layer 700 and along the sidewalls and bottoms of openings 900, forming titanium layer 1001. Then, a thin layer (for example, approximately 600 A) of titanium nitride is sputter deposited over titanium layer 1001 to form titanium nitride layer 1002. Finally, an appropriate thickness (for example, approximately 4800 A) of tungsten is deposited by CVD to form tungsten layer 1003. Although exemplary thicknesses have been given, the actual desired thickness varies depending on process factors such as the dimensions of openings 900. Other plug layer materials and deposition methods can also be used if desired.
[52] 52. Next, plug layer 1000 is chemically mechanically polished to remove plug layer 1000 from the top surface of top insulating layer 700, thereby forming filled conductive openings, or plugs 1100 as shown in FIG. 11. For the composition of plug layer 1000 described above, a preferred CMP, as described in U.S. Pat. No. 5,340,370, entitled “Novel Slurries for Chemical Mechanical Polishing” and assigned to the present assignee, uses a slurry of approximately 1% to 25% silica, by weight, in approximately 0.01 to 0.3 molar potassium fericyanide for tungsten layer 1003, an approximately 9:1 water diluted version of that slurry for titanium nitride layer 1002, and a slurry of approximately 0.5% silica, by weight, in approximately 0.5 molar potassium fluoride.
[53] 53. During the CMP process of plug layer 1000 some areas will be cleared of plug layer 1000 before other areas because of local variations in film thicknesses, topography, and removal rate. To ensure complete removal of plug layer 1000, an overpolish is used during which substantial areas of the top surface of top insulating layer 700 are subject to the plug CMP process. Therefore, it is important that top insulating layer 700 polish at a rate significantly slower than the rate at which plug layer 1000 polishes. In this way, top insulating layer 700 serves as a polish stop to minimize thinning, dishing, or breakthrough of top insulating layer 700 during the overpolish. The requirement that top insulating layer 700 be denser than phosphorous doped bottom insulating layer 400 allows top insulating layer 700 to serve as an effective polish stop.
[54] 54. Finally, interconnects 1200, as shown in FIG. 12, are formed. Interconnects 1200 is formed by well known means, such as the blanket deposition of an adhesion layer and an aluminum layer over plugs 1100 and top insulating layer 700, followed by the photolithography and etching of the aluminum and adhesion layers. At this point, the interconnection of the semiconductor devices as shown in FIG. 2 is complete.
[55] 55. As previously described, one advantage of the present invention is that the CMP of top insulating layer 700 need not require a unique process step in a multilevel metal process. For example, as shown in FIG. 13, ILD 1300 isolates interconnects 1200 from interconnect 1301. ILD 1300 can be formed from any insulating material by using any conventional or advanced techniques. In a preferred embodiment ILD 1300 is formed from the same material as top insulating layer 700. The CMP process used to increase the planarity of top insulating layer 700 is also used to increase the planarity of ILD 1300.
权利要求:
Claims (12)
[1" id="US-20010000012-A1-CLM-00001] 1. A method of forming an interlayer dielectric on a semiconductor device, said method comprising the steps of:
depositing a first insulating layer comprising phosphorous on said semiconductor device;
depositing a second insulating layer on said first insulating layer, said second insulating layer being denser than said first insulating layer; and
increasing the planarity of said second insulating layer using chemical mechanical polishing.
[2" id="US-20010000012-A1-CLM-00002] 2. The method of
claim 1 further comprising a step of sputter etching said first insulating layer to increase the conformality of said first insulating layer by redistributing a substantial amount of the material of said first insulating layer.
[3" id="US-20010000012-A1-CLM-00003] 3. The method of
claim 1 wherein said first insulating layer is undensified.
[4" id="US-20010000012-A1-CLM-00004] 4. The method of
claim 1 further comprising a step of depositing a layer of silicon nitride prior to the step of depositing said first insulating layer.
[5" id="US-20010000012-A1-CLM-00005] 5. A method of forming an interlayer dielectric between a layer of semiconductor devices and layer of interconnects, said method comprising the steps of:
depositing a first oxide layer comprising phosphorous;
depositing a second oxide layer using plasma enhanced chemical vapor deposition, said second oxide layer being denser than said first oxide layer; and
increasing the planarity of said second oxide layer using chemical mechanical polishing.
[6" id="US-20010000012-A1-CLM-00006] 6. The method of
claim 5 wherein said second oxide layer is undoped.
[7" id="US-20010000012-A1-CLM-00007] 7. The method of
claim 5 further comprising a step of densifying said first oxide layer prior to the step of depositing said second oxide layer.
[8" id="US-20010000012-A1-CLM-00008] 8. The method of
claim 5 wherein the thickness of said first oxide layer is less than 5,000 Angstroms and the thickness of said second oxide layer is greater than 5,000 Angstroms prior to the step of increasing the planarity of said second oxide layer.
[9" id="US-20010000012-A1-CLM-00009] 9. The method of
claim 5 further comprising the steps of:
forming an opening in said first and second oxide layers;
depositing a conductive material into said opening and onto said second oxide layer; and
polishing said conductive material from said second oxide layer to form a conductive filled opening.
[10" id="US-20010000012-A1-CLM-00010] 10. The method of
claim 5 wherein said first oxide layer is forming using plasma enhanced chemical vapor deposition, said method further comprising a step of sputter etching said first oxide layer to increase the conformality of said first oxide layer by redistributing a substantial amount of the material of said first oxide layer.
[11" id="US-20010000012-A1-CLM-00011] 11. A method of forming an interlayer dielectric between a layer of semiconductor devices and layer of interconnects, said method comprising the steps of:
depositing a phosphorous doped oxide layer with a thickness of less than 5,000 A using plasma enhanced chemical vapor deposition;
sputter etching said phosphorous doped oxide layer to increase the conformality of said phosphorous doped oxide layer by redistributing a substantial amount of the material of said phosphorous doped oxide layer;
depositing an undoped oxide layer with a thickness of greater than 5,000 A using plasma enhanced chemical vapor deposition, said undoped oxide layer being denser than said phosphorous doped oxide layer;
increasing the planarity of said phosphorous doped oxide layer using chemical mechanical polishing;
forming an opening in said undoped and phosphorous doped oxide layers;
depositing a conductive material into said opening and onto said undoped oxide layer; and
polishing said conductive material from said undoped oxide layer to form a conductive filled opening.
[12" id="US-20010000012-A1-CLM-00012] 12. An interlayer dielectric between a layer of semiconductor devices and layer of interconnects comprising:
a planarized insulating layer; and
an unplanarized insulating layer comprising phosphorous between said planarized insulating layer and said semiconductor devices, said unplanarized insulating layer being less dense than said planarized insulating layer.
类似技术:
公开号 | 公开日 | 专利标题
US6191050B1|2001-02-20|Interlayer dielectric with a composite dielectric stack
US5920792A|1999-07-06|High density plasma enhanced chemical vapor deposition process in combination with chemical mechanical polishing process for preparation and planarization of intemetal dielectric layers
US8389410B2|2013-03-05|Chemical mechanical polishing method
US6063711A|2000-05-16|High selectivity etching stop layer for damascene process
US6074942A|2000-06-13|Method for forming a dual damascene contact and interconnect
US6372632B1|2002-04-16|Method to eliminate dishing of copper interconnects by the use of a sacrificial oxide layer
JP2001319927A|2001-11-16|Spin on glass composition and method for forming silicon oxide film using the same
US20020013024A1|2002-01-31|Novel IMD film composition for dual damascene process
US5728631A|1998-03-17|Method for forming a low capacitance dielectric layer
US5969409A|1999-10-19|Combined in-situ high density plasma enhanced chemical vapor deposition | and chemical mechanical polishing | process to form an intermetal dielectric layer with a stopper layer embedded therein
US5681425A|1997-10-28|Teos plasma protection technology
US6274933B1|2001-08-14|Integrated circuit device having a planar interlevel dielectric layer
KR100350111B1|2002-08-23|Wiring of Semiconductor Device and Method for Manufacturing Thereof
US5930677A|1999-07-27|Method for reducing microloading in an etchback of spin-on-glass or polymer
US6143673A|2000-11-07|Method for forming gap filling silicon oxide intermetal dielectric | layer formed employing ozone-tEOS
US20020001876A1|2002-01-03|Method of making an integrated circuit device having a planar interlevel dielectric layer
KR100301530B1|2001-10-19|Mehtod for forming inter layer insulting film in the semiconductor device
KR100518988B1|2005-12-01|Integrated circuit insulator and its manufacturing method
US6869836B1|2005-03-22|ILD stack with improved CMP results
US6707134B1|2004-03-16|Semiconductor structure having an improved pre-metal dielectric stack and method for forming the same
JPH11512877A|1999-11-02|Capped interlayer dielectric for chemical mechanical polishing
KR100678007B1|2007-02-01|Method for forming pre metal dielectric in a semiconductor
US5920791A|1999-07-06|Method of manufacturing intermetal dielectrics for sub-half-micron semiconductor devices
US6054397A|2000-04-25|BPSG planarization method having improved planarity and reduced chatter mark defects
KR100623595B1|2006-09-12|Method for planarize dielectric layer in semiconductor device
同族专利:
公开号 | 公开日
US6191050B1|2001-02-20|
US5953635A|1999-09-14|
US6437444B2|2002-08-20|
引用文献:
公开号 | 申请日 | 公开日 | 申请人 | 专利标题
US6426285B1|1999-11-03|2002-07-30|Taiwan Semiconductor Manufacturing Company|Method to solve intermetallic dielectric cracks in integrated circuit devices|
US6566736B1|2001-11-30|2003-05-20|Advanced Micro Devices, Inc.|Die seal for semiconductor device moisture protection|
US20030202267A1|2002-03-22|2003-10-30|Seiko Epson Corporation|Electro-optical device, method for manufacturing the same, and electronic apparatus|
US20090176351A1|2008-01-04|2009-07-09|International Business Machines Corporation|Structure and method to improve mosfet reliability|
US20090176350A1|2008-01-04|2009-07-09|International Business Machines Corporation|Integration of ion gettering material in dielectric|
US9202758B1|2005-04-19|2015-12-01|Globalfoundries Inc.|Method for manufacturing a contact for a semiconductor component and related structure|DE3228399A1|1982-07-29|1984-02-02|Siemens AG, 1000 Berlin und 8000 München|METHOD FOR PRODUCING A MONOLITHICALLY INTEGRATED CIRCUIT|
US4966865A|1987-02-05|1990-10-30|Texas Instruments Incorporated|Method for planarization of a semiconductor device prior to metallization|
DE3880860T2|1987-03-04|1993-10-28|Toshiba Kawasaki Kk|Semiconductor memory device and method for its production.|
JPH0793354B2|1988-11-28|1995-10-09|株式会社東芝|Method for manufacturing semiconductor device|
US5188987A|1989-04-10|1993-02-23|Kabushiki Kaisha Toshiba|Method of manufacturing a semiconductor device using a polishing step prior to a selective vapor growth step|
CA2026605C|1990-10-01|2001-07-17|Luc Ouellet|Multi-level interconnection cmos devices including sog|
US5064683A|1990-10-29|1991-11-12|Motorola, Inc.|Method for polish planarizing a semiconductor substrate by using a boron nitride polish stop|
US5270264A|1991-12-20|1993-12-14|Intel Corporation|Process for filling submicron spaces with dielectric|
US5268330A|1992-12-11|1993-12-07|International Business Machines Corporation|Process for improving sheet resistance of an integrated circuit device gate|
US5244837A|1993-03-19|1993-09-14|Micron Semiconductor, Inc.|Semiconductor electrical interconnection methods|
US5356513A|1993-04-22|1994-10-18|International Business Machines Corporation|Polishstop planarization method and structure|
US5340370A|1993-11-03|1994-08-23|Intel Corporation|Slurries for chemical mechanical polishing|
US5496774A|1993-12-01|1996-03-05|Vlsi Technology, Inc.|Method improving integrated circuit planarization during etchback|
US5516729A|1994-06-03|1996-05-14|Advanced Micro Devices, Inc.|Method for planarizing a semiconductor topography using a spin-on glass material with a variable chemical-mechanical polish rate|
US5858876A|1996-04-01|1999-01-12|Chartered Semiconductor Manufacturing, Ltd.|Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer|
TW304290B|1996-08-16|1997-05-01|United Microelectronics Corp|The manufacturing method for semiconductor memory device with capacitor|
US5670410A|1996-09-25|1997-09-23|Chartered Semiconductor Manufacturing Pte Ltd.|Method of forming integrated CMP stopper and analog capacitor|
US5773361A|1996-11-06|1998-06-30|International Business Machines Corporation|Process of making a microcavity structure and applications thereof|JP3535205B2|1993-03-22|2004-06-07|株式会社半導体エネルギー研究所|Method for manufacturing thin film transistor|
US5767546A|1994-12-30|1998-06-16|Siliconix Incorporated|Laternal power mosfet having metal strap layer to reduce distributed resistance|
JP2973905B2|1995-12-27|1999-11-08|日本電気株式会社|Method for manufacturing semiconductor device|
US6551857B2|1997-04-04|2003-04-22|Elm Technology Corporation|Three dimensional structure integrated circuits|
US6194038B1|1998-03-20|2001-02-27|Applied Materials, Inc.|Method for deposition of a conformal layer on a substrate|
US6150260A|1998-07-06|2000-11-21|Chartered Semiconductor Manufacturing Ltd.|Sacrificial stop layer and endpoint for metal CMP|
US6162686A|1998-09-18|2000-12-19|Taiwan Semiconductor Manufacturing Company|Method for forming a fuse in integrated circuit application|
US6140224A|1999-04-19|2000-10-31|Worldiwide Semiconductor Manufacturing Corporation|Method of forming a tungsten plug|
US6174802B1|1999-06-28|2001-01-16|Taiwan Semiconductor Manufacturing Company|Method for fabricating a self aligned contact which eliminates the key hole problem using a two step contact deposition|
US6391795B1|1999-10-22|2002-05-21|Lsi Logic Corporation|Low k dielectric composite layer for intergrated circuit structure which provides void-free low k dielectric material between metal lines while mitigating via poisoning|
US6756674B1|1999-10-22|2004-06-29|Lsi Logic Corporation|Low dielectric constant silicon oxide-based dielectric layer for integrated circuit structures having improved compatibility with via filler materials, and method of making same|
US6423628B1|1999-10-22|2002-07-23|Lsi Logic Corporation|Method of forming integrated circuit structure having low dielectric constant material and having silicon oxynitride caps over closely spaced apart metal lines|
JP3676185B2|2000-04-14|2005-07-27|シャープ株式会社|Semiconductor device|
US6335261B1|2000-05-31|2002-01-01|International Business Machines Corporation|Directional CVD process with optimized etchback|
US6479385B1|2000-05-31|2002-11-12|Taiwan Semiconductor Manufacturing Company|Interlevel dielectric composite layer for insulation of polysilicon and metal structures|
US6335288B1|2000-08-24|2002-01-01|Applied Materials, Inc.|Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD|
US6413846B1|2000-11-14|2002-07-02|Advanced Micro Devices, Inc.|Contact each methodology and integration scheme|
US20020096707A1|2001-01-24|2002-07-25|Rudeck Paul J.|Modified source/drain re-oxidation method and system|
US6515488B1|2001-05-07|2003-02-04|Stmicroelectronics, Inc.|Fingerprint detector with scratch resistant surface and embedded ESD protection grid|
US6740601B2|2001-05-11|2004-05-25|Applied Materials Inc.|HDP-CVD deposition process for filling high aspect ratio gaps|
US6596653B2|2001-05-11|2003-07-22|Applied Materials, Inc.|Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD|
US6762125B1|2001-05-14|2004-07-13|Micron Technology, Inc.|Modified facet etch to prevent blown gate oxide and increase etch chamber life|
US7067440B1|2001-08-24|2006-06-27|Novellus Systems, Inc.|Gap fill for high aspect ratio structures|
US6794290B1|2001-12-03|2004-09-21|Novellus Systems, Inc.|Method of chemical modification of structure topography|
US6869880B2|2002-01-24|2005-03-22|Applied Materials, Inc.|In situ application of etch back for improved deposition into high-aspect-ratio features|
US6908862B2|2002-05-03|2005-06-21|Applied Materials, Inc.|HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features|
US6908361B2|2002-09-10|2005-06-21|Winbond Electronics Corporation|Method of planarization of semiconductor devices|
US7628897B2|2002-10-23|2009-12-08|Applied Materials, Inc.|Reactive ion etching for semiconductor device feature topography modification|
US6802944B2|2002-10-23|2004-10-12|Applied Materials, Inc.|High density plasma CVD process for gapfill into high aspect ratio features|
US7122485B1|2002-12-09|2006-10-17|Novellus Systems, Inc.|Deposition profile modification through process chemistry|
US6890813B2|2003-01-06|2005-05-10|Intel Corporation|Polymer film metalization|
US6808748B2|2003-01-23|2004-10-26|Applied Materials, Inc.|Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology|
US7081414B2|2003-05-23|2006-07-25|Applied Materials, Inc.|Deposition-selective etch-deposition process for dielectric film gapfill|
US6958112B2|2003-05-27|2005-10-25|Applied Materials, Inc.|Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation|
US7205240B2|2003-06-04|2007-04-17|Applied Materials, Inc.|HDP-CVD multistep gapfill process|
US7078312B1|2003-09-02|2006-07-18|Novellus Systems, Inc.|Method for controlling etch process repeatability|
US6903031B2|2003-09-03|2005-06-07|Applied Materials, Inc.|In-situ-etch-assisted HDP deposition using SiF4 and hydrogen|
US7163896B1|2003-12-10|2007-01-16|Novellus Systems, Inc.|Biased H2 etch process in deposition-etch-deposition gap fill|
US7476621B1|2003-12-10|2009-01-13|Novellus Systems, Inc.|Halogen-free noble gas assisted H2 plasma etch process in deposition-etch-deposition gap fill|
US20050260356A1|2004-05-18|2005-11-24|Applied Materials, Inc.|Microcontamination abatement in semiconductor processing|
US7229931B2|2004-06-16|2007-06-12|Applied Materials, Inc.|Oxygen plasma treatment for enhanced HDP-CVD gapfill|
US7183227B1|2004-07-01|2007-02-27|Applied Materials, Inc.|Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas|
US7087536B2|2004-09-01|2006-08-08|Applied Materials|Silicon oxide gapfill deposition using liquid precursors|
US7217658B1|2004-09-07|2007-05-15|Novellus Systems, Inc.|Process modulation to prevent structure erosion during gap fill|
US7176039B1|2004-09-21|2007-02-13|Novellus Systems, Inc.|Dynamic modification of gap fill process characteristics|
US7381451B1|2004-11-17|2008-06-03|Novellus Systems, Inc.|Strain engineering—HDP thin film with tensile stress for FEOL and other applications|
US20060154494A1|2005-01-08|2006-07-13|Applied Materials, Inc., A Delaware Corporation|High-throughput HDP-CVD processes for advanced gapfill applications|
US7211525B1|2005-03-16|2007-05-01|Novellus Systems, Inc.|Hydrogen treatment enhanced gap fill|
US7344996B1|2005-06-22|2008-03-18|Novellus Systems, Inc.|Helium-based etch process in deposition-etch-deposition gap fill|
US7329586B2|2005-06-24|2008-02-12|Applied Materials, Inc.|Gapfill using deposition-etch sequence|
KR100675895B1|2005-06-29|2007-02-02|주식회사 하이닉스반도체|Metal interconnection of semiconductor device and method of fabricating the same|
US7524750B2|2006-04-17|2009-04-28|Applied Materials, Inc.|Integrated process modulationa novel solution for gapfill with HDP-CVD|
US7482245B1|2006-06-20|2009-01-27|Novellus Systems, Inc.|Stress profile modulation in STI gap fill|
US20080142483A1|2006-12-07|2008-06-19|Applied Materials, Inc.|Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills|
US7939422B2|2006-12-07|2011-05-10|Applied Materials, Inc.|Methods of thin film process|
US8435898B2|2007-04-05|2013-05-07|Freescale Semiconductor, Inc.|First inter-layer dielectric stack for non-volatile memory|
US9331057B2|2007-10-26|2016-05-03|Infineon Technologies Ag|Semiconductor device|
US7678715B2|2007-12-21|2010-03-16|Applied Materials, Inc.|Low wet etch rate silicon nitride film|
US7642176B2|2008-04-21|2010-01-05|Taiwan Semiconductor Manufacturing Company, Ltd.|Electrical fuse structure and method|
US8133797B2|2008-05-16|2012-03-13|Novellus Systems, Inc.|Protective layer to enable damage free gap fill|
US10378106B2|2008-11-14|2019-08-13|Asm Ip Holding B.V.|Method of forming insulation film by modified PEALD|
US9394608B2|2009-04-06|2016-07-19|Asm America, Inc.|Semiconductor processing reactor and components thereof|
US8802201B2|2009-08-14|2014-08-12|Asm America, Inc.|Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species|
US8686536B2|2009-10-30|2014-04-01|Taiwan Semiconductor Manufacturing Company, Ltd.|Electrical fuse structure and method of formation|
US9741658B2|2009-10-30|2017-08-22|Taiwan Semiconductor Manufacturing Company, Ltd.|Electrical fuse structure and method of formation|
US9324576B2|2010-05-27|2016-04-26|Applied Materials, Inc.|Selective etch for silicon films|
US9064815B2|2011-03-14|2015-06-23|Applied Materials, Inc.|Methods for etch of metal and metal-oxide films|
US8999856B2|2011-03-14|2015-04-07|Applied Materials, Inc.|Methods for etch of sin films|
US8741778B2|2010-12-14|2014-06-03|Applied Materials, Inc.|Uniform dry etch in two stages|
US10283321B2|2011-01-18|2019-05-07|Applied Materials, Inc.|Semiconductor processing system and methods using capacitively coupled plasma|
US8771539B2|2011-02-22|2014-07-08|Applied Materials, Inc.|Remotely-excited fluorine and water vapor etch|
US9312155B2|2011-06-06|2016-04-12|Asm Japan K.K.|High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules|
US9793148B2|2011-06-22|2017-10-17|Asm Japan K.K.|Method for positioning wafers in multiple wafer transport|
US8497211B2|2011-06-24|2013-07-30|Applied Materials, Inc.|Integrated process modulation for PSG gapfill|
US10364496B2|2011-06-27|2019-07-30|Asm Ip Holding B.V.|Dual section module having shared and unshared mass flow controllers|
US10854498B2|2011-07-15|2020-12-01|Asm Ip Holding B.V.|Wafer-supporting device and method for producing same|
US8771536B2|2011-08-01|2014-07-08|Applied Materials, Inc.|Dry-etch for silicon-and-carbon-containing films|
US8679982B2|2011-08-26|2014-03-25|Applied Materials, Inc.|Selective suppression of dry-etch rate of materials containing both silicon and oxygen|
US8679983B2|2011-09-01|2014-03-25|Applied Materials, Inc.|Selective suppression of dry-etch rate of materials containing both silicon and nitrogen|
US8927390B2|2011-09-26|2015-01-06|Applied Materials, Inc.|Intrench profile|
US8808563B2|2011-10-07|2014-08-19|Applied Materials, Inc.|Selective etch of silicon by way of metastable hydrogen termination|
US9017481B1|2011-10-28|2015-04-28|Asm America, Inc.|Process feed management for semiconductor substrate processing|
WO2013070436A1|2011-11-08|2013-05-16|Applied Materials, Inc.|Methods of reducing substrate dislocation during gapfill processing|
US8946830B2|2012-04-04|2015-02-03|Asm Ip Holdings B.V.|Metal oxide protective layer for a semiconductor device|
US9267739B2|2012-07-18|2016-02-23|Applied Materials, Inc.|Pedestal with multi-zone temperature control and multiple purge capabilities|
US9558931B2|2012-07-27|2017-01-31|Asm Ip Holding B.V.|System and method for gas-phase sulfur passivation of a semiconductor surface|
US9373517B2|2012-08-02|2016-06-21|Applied Materials, Inc.|Semiconductor processing with DC assisted RF power for improved control|
US9659799B2|2012-08-28|2017-05-23|Asm Ip Holding B.V.|Systems and methods for dynamic semiconductor process scheduling|
US9021985B2|2012-09-12|2015-05-05|Asm Ip Holdings B.V.|Process gas management for an inductively-coupled plasma deposition reactor|
US9034770B2|2012-09-17|2015-05-19|Applied Materials, Inc.|Differential silicon oxide etch|
US9023734B2|2012-09-18|2015-05-05|Applied Materials, Inc.|Radical-component oxide etch|
US9390937B2|2012-09-20|2016-07-12|Applied Materials, Inc.|Silicon-carbon-nitride selective etch|
US9132436B2|2012-09-21|2015-09-15|Applied Materials, Inc.|Chemical control features in wafer process equipment|
US9324811B2|2012-09-26|2016-04-26|Asm Ip Holding B.V.|Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same|
US10714315B2|2012-10-12|2020-07-14|Asm Ip Holdings B.V.|Semiconductor reaction chamber showerhead|
US8765574B2|2012-11-09|2014-07-01|Applied Materials, Inc.|Dry etch process|
US8969212B2|2012-11-20|2015-03-03|Applied Materials, Inc.|Dry-etch selectivity|
US9064816B2|2012-11-30|2015-06-23|Applied Materials, Inc.|Dry-etch for selective oxidation removal|
US8980763B2|2012-11-30|2015-03-17|Applied Materials, Inc.|Dry-etch for selective tungsten removal|
US9111877B2|2012-12-18|2015-08-18|Applied Materials, Inc.|Non-local plasma oxide etch|
US8921234B2|2012-12-21|2014-12-30|Applied Materials, Inc.|Selective titanium nitride etching|
US9640416B2|2012-12-26|2017-05-02|Asm Ip Holding B.V.|Single-and dual-chamber module-attachable wafer-handling chamber|
US9018093B2|2013-01-25|2015-04-28|Asm Ip Holding B.V.|Method for forming layer constituted by repeated stacked layers|
US9018108B2|2013-01-25|2015-04-28|Applied Materials, Inc.|Low shrinkage dielectric films|
US10256079B2|2013-02-08|2019-04-09|Applied Materials, Inc.|Semiconductor processing systems having multiple plasma configurations|
US9087796B2|2013-02-26|2015-07-21|International Business Machines Corporation|Semiconductor fabrication method using stop layer|
US9362130B2|2013-03-01|2016-06-07|Applied Materials, Inc.|Enhanced etching processes using remote plasma sources|
US9040422B2|2013-03-05|2015-05-26|Applied Materials, Inc.|Selective titanium nitride removal|
US8801952B1|2013-03-07|2014-08-12|Applied Materials, Inc.|Conformal oxide dry etch|
US10170282B2|2013-03-08|2019-01-01|Applied Materials, Inc.|Insulated semiconductor faceplate designs|
US9589770B2|2013-03-08|2017-03-07|Asm Ip Holding B.V.|Method and systems for in-situ formation of intermediate reactive species|
US9484191B2|2013-03-08|2016-11-01|Asm Ip Holding B.V.|Pulsed remote plasma method and system|
US20140271097A1|2013-03-15|2014-09-18|Applied Materials, Inc.|Processing systems and methods for halide scavenging|
US8895449B1|2013-05-16|2014-11-25|Applied Materials, Inc.|Delicate dry clean|
US9114438B2|2013-05-21|2015-08-25|Applied Materials, Inc.|Copper residue chamber clean|
US8993054B2|2013-07-12|2015-03-31|Asm Ip Holding B.V.|Method and system to reduce outgassing in a reaction chamber|
US9493879B2|2013-07-12|2016-11-15|Applied Materials, Inc.|Selective sputtering for pattern transfer|
US9018111B2|2013-07-22|2015-04-28|Asm Ip Holding B.V.|Semiconductor reaction chamber with plasma capabilities|
US9275909B2|2013-08-12|2016-03-01|Micron Technology, Inc.|Methods of fabricating semiconductor structures|
US9793115B2|2013-08-14|2017-10-17|Asm Ip Holding B.V.|Structures and devices including germanium-tin films and methods of forming same|
US9773648B2|2013-08-30|2017-09-26|Applied Materials, Inc.|Dual discharge modes operation for remote plasma|
US8956980B1|2013-09-16|2015-02-17|Applied Materials, Inc.|Selective etch of silicon nitride|
US9240412B2|2013-09-27|2016-01-19|Asm Ip Holding B.V.|Semiconductor structure and device and methods of forming same using selective epitaxial process|
US9556516B2|2013-10-09|2017-01-31|ASM IP Holding B.V|Method for forming Ti-containing film by PEALD using TDMAT or TDEAT|
US8951429B1|2013-10-29|2015-02-10|Applied Materials, Inc.|Tungsten oxide processing|
US9236265B2|2013-11-04|2016-01-12|Applied Materials, Inc.|Silicon germanium processing|
US9576809B2|2013-11-04|2017-02-21|Applied Materials, Inc.|Etch suppression with germanium|
US9520303B2|2013-11-12|2016-12-13|Applied Materials, Inc.|Aluminum selective etch|
US10179947B2|2013-11-26|2019-01-15|Asm Ip Holding B.V.|Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition|
US9245762B2|2013-12-02|2016-01-26|Applied Materials, Inc.|Procedure for etch rate consistency|
US9117855B2|2013-12-04|2015-08-25|Applied Materials, Inc.|Polarity control for remote plasma|
US9287095B2|2013-12-17|2016-03-15|Applied Materials, Inc.|Semiconductor system assemblies and methods of operation|
US9263278B2|2013-12-17|2016-02-16|Applied Materials, Inc.|Dopant etch selectivity control|
US9190293B2|2013-12-18|2015-11-17|Applied Materials, Inc.|Even tungsten etch for high aspect ratio trenches|
US9287134B2|2014-01-17|2016-03-15|Applied Materials, Inc.|Titanium oxide etch|
US9396989B2|2014-01-27|2016-07-19|Applied Materials, Inc.|Air gaps between copper lines|
US9293568B2|2014-01-27|2016-03-22|Applied Materials, Inc.|Method of fin patterning|
US9385028B2|2014-02-03|2016-07-05|Applied Materials, Inc.|Air gap process|
US10683571B2|2014-02-25|2020-06-16|Asm Ip Holding B.V.|Gas supply manifold and method of supplying gases to chamber using same|
US9499898B2|2014-03-03|2016-11-22|Applied Materials, Inc.|Layered thin film heater and method of fabrication|
US9299575B2|2014-03-17|2016-03-29|Applied Materials, Inc.|Gas-phase tungsten etch|
US9447498B2|2014-03-18|2016-09-20|Asm Ip Holding B.V.|Method for performing uniform processing in gas system-sharing multiple reaction chambers|
US10167557B2|2014-03-18|2019-01-01|Asm Ip Holding B.V.|Gas distribution system, reactor including the system, and methods of using the same|
US11015245B2|2014-03-19|2021-05-25|Asm Ip Holding B.V.|Gas-phase reactor and system having exhaust plenum and components thereof|
US9299538B2|2014-03-20|2016-03-29|Applied Materials, Inc.|Radial waveguide systems and methods for post-match control of microwaves|
US9299537B2|2014-03-20|2016-03-29|Applied Materials, Inc.|Radial waveguide systems and methods for post-match control of microwaves|
US9136273B1|2014-03-21|2015-09-15|Applied Materials, Inc.|Flash gate air gap|
US9903020B2|2014-03-31|2018-02-27|Applied Materials, Inc.|Generation of compact alumina passivation layers on aluminum plasma equipment components|
US9269590B2|2014-04-07|2016-02-23|Applied Materials, Inc.|Spacer formation|
US9404587B2|2014-04-24|2016-08-02|ASM IP Holding B.V|Lockout tagout for semiconductor vacuum valve|
US9309598B2|2014-05-28|2016-04-12|Applied Materials, Inc.|Oxide and metal removal|
US9847289B2|2014-05-30|2017-12-19|Applied Materials, Inc.|Protective via cap for improved interconnect performance|
US9406523B2|2014-06-19|2016-08-02|Applied Materials, Inc.|Highly selective doped oxide removal method|
US9378969B2|2014-06-19|2016-06-28|Applied Materials, Inc.|Low temperature gas-phase carbon removal|
US9425058B2|2014-07-24|2016-08-23|Applied Materials, Inc.|Simplified litho-etch-litho-etch process|
US10858737B2|2014-07-28|2020-12-08|Asm Ip Holding B.V.|Showerhead assembly and components thereof|
US9159606B1|2014-07-31|2015-10-13|Applied Materials, Inc.|Metal air gap|
US9496167B2|2014-07-31|2016-11-15|Applied Materials, Inc.|Integrated bit-line airgap formation and gate stack post clean|
US9378978B2|2014-07-31|2016-06-28|Applied Materials, Inc.|Integrated oxide recess and floating gate fin trimming|
US9543180B2|2014-08-01|2017-01-10|Asm Ip Holding B.V.|Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum|
US9165786B1|2014-08-05|2015-10-20|Applied Materials, Inc.|Integrated oxide and nitride recess for better channel contact in 3D architectures|
US9659753B2|2014-08-07|2017-05-23|Applied Materials, Inc.|Grooved insulator to reduce leakage current|
US9553102B2|2014-08-19|2017-01-24|Applied Materials, Inc.|Tungsten separation|
US9890456B2|2014-08-21|2018-02-13|Asm Ip Holding B.V.|Method and system for in situ formation of gas-phase compounds|
US9355856B2|2014-09-12|2016-05-31|Applied Materials, Inc.|V trench dry etch|
US9368364B2|2014-09-24|2016-06-14|Applied Materials, Inc.|Silicon etch process with tunable selectivity to SiO2 and other materials|
US9355862B2|2014-09-24|2016-05-31|Applied Materials, Inc.|Fluorine-based hardmask removal|
US9613822B2|2014-09-25|2017-04-04|Applied Materials, Inc.|Oxide etch selectivity enhancement|
US10941490B2|2014-10-07|2021-03-09|Asm Ip Holding B.V.|Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same|
US9657845B2|2014-10-07|2017-05-23|Asm Ip Holding B.V.|Variable conductance gas distribution apparatus and method|
US9355922B2|2014-10-14|2016-05-31|Applied Materials, Inc.|Systems and methods for internal surface conditioning in plasma processing equipment|
US9966240B2|2014-10-14|2018-05-08|Applied Materials, Inc.|Systems and methods for internal surface conditioning assessment in plasma processing equipment|
KR102300403B1|2014-11-19|2021-09-09|에이에스엠 아이피 홀딩 비.브이.|Method of depositing thin film|
US9299583B1|2014-12-05|2016-03-29|Applied Materials, Inc.|Aluminum oxide selective etch|
US10224210B2|2014-12-09|2019-03-05|Applied Materials, Inc.|Plasma processing system with direct outlet toroidal plasma source|
US10573496B2|2014-12-09|2020-02-25|Applied Materials, Inc.|Direct outlet toroidal plasma source|
KR102263121B1|2014-12-22|2021-06-09|에이에스엠 아이피 홀딩 비.브이.|Semiconductor device and manufacuring method thereof|
US9502258B2|2014-12-23|2016-11-22|Applied Materials, Inc.|Anisotropic gap etch|
US9343272B1|2015-01-08|2016-05-17|Applied Materials, Inc.|Self-aligned process|
US9373522B1|2015-01-22|2016-06-21|Applied Mateials, Inc.|Titanium nitride removal|
US9449846B2|2015-01-28|2016-09-20|Applied Materials, Inc.|Vertical gate separation|
US10354860B2|2015-01-29|2019-07-16|Versum Materials Us, Llc|Method and precursors for manufacturing 3D devices|
US9728437B2|2015-02-03|2017-08-08|Applied Materials, Inc.|High temperature chuck for plasma processing systems|
US9478415B2|2015-02-13|2016-10-25|Asm Ip Holding B.V.|Method for forming film having low resistance and shallow junction depth|
US9881805B2|2015-03-02|2018-01-30|Applied Materials, Inc.|Silicon selective removal|
US10529542B2|2015-03-11|2020-01-07|Asm Ip Holdings B.V.|Cross-flow reactor and method|
US10276355B2|2015-03-12|2019-04-30|Asm Ip Holding B.V.|Multi-zone reactor, system including the reactor, and method of using the same|
US10458018B2|2015-06-26|2019-10-29|Asm Ip Holding B.V.|Structures including metal carbide material, devices including the structures, and methods of forming same|
US10600673B2|2015-07-07|2020-03-24|Asm Ip Holding B.V.|Magnetic susceptor to baseplate seal|
US10043661B2|2015-07-13|2018-08-07|Asm Ip Holding B.V.|Method for protecting layer by forming hydrocarbon-based extremely thin film|
US9899291B2|2015-07-13|2018-02-20|Asm Ip Holding B.V.|Method for protecting layer by forming hydrocarbon-based extremely thin film|
US10083836B2|2015-07-24|2018-09-25|Asm Ip Holding B.V.|Formation of boron-doped titanium metal films with high work function|
US10087525B2|2015-08-04|2018-10-02|Asm Ip Holding B.V.|Variable gap hard stop design|
US9741593B2|2015-08-06|2017-08-22|Applied Materials, Inc.|Thermal management systems and methods for wafer processing systems|
US9691645B2|2015-08-06|2017-06-27|Applied Materials, Inc.|Bolted wafer chuck thermal management systems and methods for wafer processing systems|
US9349605B1|2015-08-07|2016-05-24|Applied Materials, Inc.|Oxide etch selectivity systems and methods|
US9647114B2|2015-08-14|2017-05-09|Asm Ip Holding B.V.|Methods of forming highly p-type doped germanium tin films and structures and devices including the films|
US9711345B2|2015-08-25|2017-07-18|Asm Ip Holding B.V.|Method for forming aluminum nitride-based film by PEALD|
US10504700B2|2015-08-27|2019-12-10|Applied Materials, Inc.|Plasma etching systems and methods with secondary plasma injection|
US9960072B2|2015-09-29|2018-05-01|Asm Ip Holding B.V.|Variable adjustment for precise matching of multiple chamber cavity housings|
US9909214B2|2015-10-15|2018-03-06|Asm Ip Holding B.V.|Method for depositing dielectric film in trenches by PEALD|
US10211308B2|2015-10-21|2019-02-19|Asm Ip Holding B.V.|NbMC layers|
US10322384B2|2015-11-09|2019-06-18|Asm Ip Holding B.V.|Counter flow mixer for process chamber|
US9455138B1|2015-11-10|2016-09-27|Asm Ip Holding B.V.|Method for forming dielectric film in trenches by PEALD using H-containing gas|
US9905420B2|2015-12-01|2018-02-27|Asm Ip Holding B.V.|Methods of forming silicon germanium tin films and structures and devices including the films|
US9607837B1|2015-12-21|2017-03-28|Asm Ip Holding B.V.|Method for forming silicon oxide cap layer for solid state diffusion process|
US9627221B1|2015-12-28|2017-04-18|Asm Ip Holding B.V.|Continuous process incorporating atomic layer etching|
US9735024B2|2015-12-28|2017-08-15|Asm Ip Holding B.V.|Method of atomic layer etching using functional group-containing fluorocarbon|
US11139308B2|2015-12-29|2021-10-05|Asm Ip Holding B.V.|Atomic layer deposition of III-V compounds to form V-NAND devices|
US10529554B2|2016-02-19|2020-01-07|Asm Ip Holding B.V.|Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches|
US10468251B2|2016-02-19|2019-11-05|Asm Ip Holding B.V.|Method for forming spacers using silicon nitride film for spacer-defined multiple patterning|
US9754779B1|2016-02-19|2017-09-05|Asm Ip Holding B.V.|Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches|
US10501866B2|2016-03-09|2019-12-10|Asm Ip Holding B.V.|Gas distribution apparatus for improved film uniformity in an epitaxial system|
US10343920B2|2016-03-18|2019-07-09|Asm Ip Holding B.V.|Aligned carbon nanotubes|
US9892913B2|2016-03-24|2018-02-13|Asm Ip Holding B.V.|Radial and thickness control via biased multi-port injection settings|
US10190213B2|2016-04-21|2019-01-29|Asm Ip Holding B.V.|Deposition of metal borides|
US10087522B2|2016-04-21|2018-10-02|Asm Ip Holding B.V.|Deposition of metal borides|
US10865475B2|2016-04-21|2020-12-15|Asm Ip Holding B.V.|Deposition of metal borides and silicides|
US10367080B2|2016-05-02|2019-07-30|Asm Ip Holding B.V.|Method of forming a germanium oxynitride film|
US10032628B2|2016-05-02|2018-07-24|Asm Ip Holding B.V.|Source/drain performance through conformal solid state doping|
KR20170129475A|2016-05-17|2017-11-27|에이에스엠 아이피 홀딩 비.브이.|Method of forming metal interconnection and method of fabricating semiconductor device using the same|
US10522371B2|2016-05-19|2019-12-31|Applied Materials, Inc.|Systems and methods for improved semiconductor etching and component protection|
US10504754B2|2016-05-19|2019-12-10|Applied Materials, Inc.|Systems and methods for improved semiconductor etching and component protection|
US10388509B2|2016-06-28|2019-08-20|Asm Ip Holding B.V.|Formation of epitaxial layers via dislocation filtering|
US9865484B1|2016-06-29|2018-01-09|Applied Materials, Inc.|Selective etch using material modification and RF pulsing|
US9859151B1|2016-07-08|2018-01-02|Asm Ip Holding B.V.|Selective film deposition method to form air gaps|
US10612137B2|2016-07-08|2020-04-07|Asm Ip Holdings B.V.|Organic reactants for atomic layer deposition|
US9793135B1|2016-07-14|2017-10-17|ASM IP Holding B.V|Method of cyclic dry etching using etchant film|
US10714385B2|2016-07-19|2020-07-14|Asm Ip Holding B.V.|Selective deposition of tungsten|
US10381226B2|2016-07-27|2019-08-13|Asm Ip Holding B.V.|Method of processing substrate|
US9812320B1|2016-07-28|2017-11-07|Asm Ip Holding B.V.|Method and apparatus for filling a gap|
KR20180013034A|2016-07-28|2018-02-07|에이에스엠 아이피 홀딩 비.브이.|Substrate processing apparatus and method of operating the same|
US10177025B2|2016-07-28|2019-01-08|Asm Ip Holding B.V.|Method and apparatus for filling a gap|
US10395919B2|2016-07-28|2019-08-27|Asm Ip Holding B.V.|Method and apparatus for filling a gap|
US9887082B1|2016-07-28|2018-02-06|Asm Ip Holding B.V.|Method and apparatus for filling a gap|
US10090316B2|2016-09-01|2018-10-02|Asm Ip Holding B.V.|3D stacked multilayer semiconductor memory using doped select transistor channel|
US10629473B2|2016-09-09|2020-04-21|Applied Materials, Inc.|Footing removal for nitride spacer|
US10062575B2|2016-09-09|2018-08-28|Applied Materials, Inc.|Poly directional etch by oxidation|
US9934942B1|2016-10-04|2018-04-03|Applied Materials, Inc.|Chamber with flow-through source|
US10546729B2|2016-10-04|2020-01-28|Applied Materials, Inc.|Dual-channel showerhead with improved profile|
US9721789B1|2016-10-04|2017-08-01|Applied Materials, Inc.|Saving ion-damaged spacers|
US10062585B2|2016-10-04|2018-08-28|Applied Materials, Inc.|Oxygen compatible plasma source|
US10062579B2|2016-10-07|2018-08-28|Applied Materials, Inc.|Selective SiN lateral recess|
US9947549B1|2016-10-10|2018-04-17|Applied Materials, Inc.|Cobalt-containing material removal|
US10410943B2|2016-10-13|2019-09-10|Asm Ip Holding B.V.|Method for passivating a surface of a semiconductor and related systems|
US10643826B2|2016-10-26|2020-05-05|Asm Ip Holdings B.V.|Methods for thermally calibrating reaction chambers|
US10229833B2|2016-11-01|2019-03-12|Asm Ip Holding B.V.|Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures|
US10714350B2|2016-11-01|2020-07-14|ASM IP Holdings, B.V.|Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures|
US10435790B2|2016-11-01|2019-10-08|Asm Ip Holding B.V.|Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap|
US10643904B2|2016-11-01|2020-05-05|Asm Ip Holdings B.V.|Methods for forming a semiconductor device and related semiconductor device structures|
US10134757B2|2016-11-07|2018-11-20|Asm Ip Holding B.V.|Method of processing a substrate and a device manufactured by using the method|
US10163696B2|2016-11-11|2018-12-25|Applied Materials, Inc.|Selective cobalt removal for bottom up gapfill|
US9768034B1|2016-11-11|2017-09-19|Applied Materials, Inc.|Removal methods for high aspect ratio structures|
US10026621B2|2016-11-14|2018-07-17|Applied Materials, Inc.|SiN spacer profile patterning|
US10242908B2|2016-11-14|2019-03-26|Applied Materials, Inc.|Airgap formation with damage-free copper|
KR20180054366A|2016-11-15|2018-05-24|에이에스엠 아이피 홀딩 비.브이.|Gas supply unit and substrate processing apparatus including the same|
US10340135B2|2016-11-28|2019-07-02|Asm Ip Holding B.V.|Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride|
KR20180068582A|2016-12-14|2018-06-22|에이에스엠 아이피 홀딩 비.브이.|Substrate processing apparatus|
US9916980B1|2016-12-15|2018-03-13|Asm Ip Holding B.V.|Method of forming a structure on a substrate|
KR20180070971A|2016-12-19|2018-06-27|에이에스엠 아이피 홀딩 비.브이.|Substrate processing apparatus|
US10269558B2|2016-12-22|2019-04-23|Asm Ip Holding B.V.|Method of forming a structure on a substrate|
US10566206B2|2016-12-27|2020-02-18|Applied Materials, Inc.|Systems and methods for anisotropic material breakthrough|
US10867788B2|2016-12-28|2020-12-15|Asm Ip Holding B.V.|Method of forming a structure on a substrate|
US10431429B2|2017-02-03|2019-10-01|Applied Materials, Inc.|Systems and methods for radial and azimuthal control of plasma uniformity|
US10403507B2|2017-02-03|2019-09-03|Applied Materials, Inc.|Shaped etch profile with oxidation|
US10043684B1|2017-02-06|2018-08-07|Applied Materials, Inc.|Self-limiting atomic thermal etching systems and methods|
US10319739B2|2017-02-08|2019-06-11|Applied Materials, Inc.|Accommodating imperfectly aligned memory holes|
US10655221B2|2017-02-09|2020-05-19|Asm Ip Holding B.V.|Method for depositing oxide film by thermal ALD and PEALD|
US10468261B2|2017-02-15|2019-11-05|Asm Ip Holding B.V.|Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures|
US10943834B2|2017-03-13|2021-03-09|Applied Materials, Inc.|Replacement contact process|
US10283353B2|2017-03-29|2019-05-07|Asm Ip Holding B.V.|Method of reforming insulating film deposited on substrate with recess pattern|
US10529563B2|2017-03-29|2020-01-07|Asm Ip Holdings B.V.|Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures|
US10103040B1|2017-03-31|2018-10-16|Asm Ip Holding B.V.|Apparatus and method for manufacturing a semiconductor device|
USD830981S1|2017-04-07|2018-10-16|Asm Ip Holding B.V.|Susceptor for semiconductor substrate processing apparatus|
US10319649B2|2017-04-11|2019-06-11|Applied Materials, Inc.|Optical emission spectroscopyfor remote plasma monitoring|
KR20180119477A|2017-04-25|2018-11-02|에이에스엠 아이피 홀딩 비.브이.|Method for depositing a thin film and manufacturing a semiconductor device|
US10446393B2|2017-05-08|2019-10-15|Asm Ip Holding B.V.|Methods for forming silicon-containing epitaxial layers and related semiconductor device structures|
US10892156B2|2017-05-08|2021-01-12|Asm Ip Holding B.V.|Methods for forming a silicon nitride film on a substrate and related semiconductor device structures|
US10770286B2|2017-05-08|2020-09-08|Asm Ip Holdings B.V.|Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures|
US10497579B2|2017-05-31|2019-12-03|Applied Materials, Inc.|Water-free etching methods|
US10504742B2|2017-05-31|2019-12-10|Asm Ip Holding B.V.|Method of atomic layer etching using hydrogen plasma|
US10049891B1|2017-05-31|2018-08-14|Applied Materials, Inc.|Selective in situ cobalt residue removal|
US10886123B2|2017-06-02|2021-01-05|Asm Ip Holding B.V.|Methods for forming low temperature semiconductor layers and related semiconductor device structures|
US10920320B2|2017-06-16|2021-02-16|Applied Materials, Inc.|Plasma health determination in semiconductor substrate processing reactors|
US10541246B2|2017-06-26|2020-01-21|Applied Materials, Inc.|3D flash memory cells which discourage cross-cell electrical tunneling|
US10685834B2|2017-07-05|2020-06-16|Asm Ip Holdings B.V.|Methods for forming a silicon germanium tin layer and related semiconductor device structures|
US10727080B2|2017-07-07|2020-07-28|Applied Materials, Inc.|Tantalum-containing material removal|
US10541184B2|2017-07-11|2020-01-21|Applied Materials, Inc.|Optical emission spectroscopic techniques for monitoring etching|
US10354889B2|2017-07-17|2019-07-16|Applied Materials, Inc.|Non-halogen etching of silicon-containing materials|
KR20190009245A|2017-07-18|2019-01-28|에이에스엠 아이피 홀딩 비.브이.|Methods for forming a semiconductor device structure and related semiconductor device structures|
US10541333B2|2017-07-19|2020-01-21|Asm Ip Holding B.V.|Method for depositing a group IV semiconductor and related semiconductor device structures|
US11018002B2|2017-07-19|2021-05-25|Asm Ip Holding B.V.|Method for selectively depositing a Group IV semiconductor and related semiconductor device structures|
US10312055B2|2017-07-26|2019-06-04|Asm Ip Holding B.V.|Method of depositing film by PEALD using negative bias|
US10590535B2|2017-07-26|2020-03-17|Asm Ip Holdings B.V.|Chemical treatment, deposition and/or infiltration apparatus and method for using the same|
US10605530B2|2017-07-26|2020-03-31|Asm Ip Holding B.V.|Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace|
US10043674B1|2017-08-04|2018-08-07|Applied Materials, Inc.|Germanium etching systems and methods|
US10170336B1|2017-08-04|2019-01-01|Applied Materials, Inc.|Methods for anisotropic control of selective silicon removal|
US10297458B2|2017-08-07|2019-05-21|Applied Materials, Inc.|Process window widening using coated parts in plasma etch processes|
US10692741B2|2017-08-08|2020-06-23|Asm Ip Holdings B.V.|Radiation shield|
US10770336B2|2017-08-08|2020-09-08|Asm Ip Holding B.V.|Substrate lift mechanism and reactor including same|
US11139191B2|2017-08-09|2021-10-05|Asm Ip Holding B.V.|Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith|
US10249524B2|2017-08-09|2019-04-02|Asm Ip Holding B.V.|Cassette holder assembly for a substrate cassette and holding member for use in such assembly|
US10236177B1|2017-08-22|2019-03-19|ASM IP Holding B.V..|Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures|
USD900036S1|2017-08-24|2020-10-27|Asm Ip Holding B.V.|Heater electrical connector and adapter|
KR20190023920A|2017-08-30|2019-03-08|에이에스엠 아이피 홀딩 비.브이.|Substrate processing apparatus|
US11056344B2|2017-08-30|2021-07-06|Asm Ip Holding B.V.|Layer forming method|
US10607895B2|2017-09-18|2020-03-31|Asm Ip Holdings B.V.|Method for forming a semiconductor device structure comprising a gate fill metal|
KR20190033455A|2017-09-21|2019-03-29|에이에스엠 아이피 홀딩 비.브이.|Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same|
US10844484B2|2017-09-22|2020-11-24|Asm Ip Holding B.V.|Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods|
US10658205B2|2017-09-28|2020-05-19|Asm Ip Holdings B.V.|Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber|
US10403504B2|2017-10-05|2019-09-03|Asm Ip Holding B.V.|Method for selectively depositing a metallic film on a substrate|
US10319588B2|2017-10-10|2019-06-11|Asm Ip Holding B.V.|Method for depositing a metal chalcogenide on a substrate by cyclical deposition|
US10128086B1|2017-10-24|2018-11-13|Applied Materials, Inc.|Silicon pretreatment for nitride removal|
US10283324B1|2017-10-24|2019-05-07|Applied Materials, Inc.|Oxygen treatment for nitride etching|
US10923344B2|2017-10-30|2021-02-16|Asm Ip Holding B.V.|Methods for forming a semiconductor structure and related semiconductor structures|
KR20190056158A|2017-11-16|2019-05-24|에이에스엠 아이피 홀딩 비.브이.|Method of processing a substrate and a device manufactured by the same|
US10910262B2|2017-11-16|2021-02-02|Asm Ip Holding B.V.|Method of selectively depositing a capping layer structure on a semiconductor device structure|
US11022879B2|2017-11-24|2021-06-01|Asm Ip Holding B.V.|Method of forming an enhanced unexposed photoresist layer|
JP2021504932A|2017-11-27|2021-02-15|エーエスエム アイピー ホールディング ビー.ブイ.|Storage device for storing wafer cassettes used in batch furnaces|
US10290508B1|2017-12-05|2019-05-14|Asm Ip Holding B.V.|Method for forming vertical spacers for spacer-defined patterning|
US10256112B1|2017-12-08|2019-04-09|Applied Materials, Inc.|Selective tungsten removal|
US10903054B2|2017-12-19|2021-01-26|Applied Materials, Inc.|Multi-zone gas distribution systems and methods|
US10854426B2|2018-01-08|2020-12-01|Applied Materials, Inc.|Metal recess for semiconductor structures|
US10872771B2|2018-01-16|2020-12-22|Asm Ip Holding B. V.|Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures|
USD903477S1|2018-01-24|2020-12-01|Asm Ip Holdings B.V.|Metal clamp|
US11018047B2|2018-01-25|2021-05-25|Asm Ip Holding B.V.|Hybrid lift pin|
US10535516B2|2018-02-01|2020-01-14|Asm Ip Holdings B.V.|Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures|
USD880437S1|2018-02-01|2020-04-07|Asm Ip Holding B.V.|Gas supply plate for semiconductor manufacturing apparatus|
US11081345B2|2018-02-06|2021-08-03|Asm Ip Holding B.V.|Method of post-deposition treatment for silicon oxide film|
US10896820B2|2018-02-14|2021-01-19|Asm Ip Holding B.V.|Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process|
US10964512B2|2018-02-15|2021-03-30|Applied Materials, Inc.|Semiconductor processing chamber multistage mixing apparatus and methods|
US10679870B2|2018-02-15|2020-06-09|Applied Materials, Inc.|Semiconductor processing chamber multistage mixing apparatus|
US10731249B2|2018-02-15|2020-08-04|Asm Ip Holding B.V.|Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus|
US10658181B2|2018-02-20|2020-05-19|Asm Ip Holding B.V.|Method of spacer-defined direct patterning in semiconductor fabrication|
US10975470B2|2018-02-23|2021-04-13|Asm Ip Holding B.V.|Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment|
TWI716818B|2018-02-28|2021-01-21|美商應用材料股份有限公司|Systems and methods to form airgaps|
US10593560B2|2018-03-01|2020-03-17|Applied Materials, Inc.|Magnetic induction plasma source for semiconductor processes and equipment|
US10319600B1|2018-03-12|2019-06-11|Applied Materials, Inc.|Thermal silicon etch|
US10497573B2|2018-03-13|2019-12-03|Applied Materials, Inc.|Selective atomic layer etching of semiconductor materials|
US11114283B2|2018-03-16|2021-09-07|Asm Ip Holding B.V.|Reactor, system including the reactor, and methods of manufacturing and using same|
KR20190113580A|2018-03-27|2019-10-08|에이에스엠 아이피 홀딩 비.브이.|Method of forming an electrode on a substrate and a semiconductor device structure including an electrode|
US10510536B2|2018-03-29|2019-12-17|Asm Ip Holding B.V.|Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber|
US11088002B2|2018-03-29|2021-08-10|Asm Ip Holding B.V.|Substrate rack and a substrate processing system and method|
KR20190114682A|2018-03-30|2019-10-10|에이에스엠 아이피 홀딩 비.브이.|Substrate processing method|
US10573527B2|2018-04-06|2020-02-25|Applied Materials, Inc.|Gas-phase selective etching systems and methods|
US10490406B2|2018-04-10|2019-11-26|Appled Materials, Inc.|Systems and methods for material breakthrough|
US10699879B2|2018-04-17|2020-06-30|Applied Materials, Inc.|Two piece electrode assembly with gap for plasma control|
US10886137B2|2018-04-30|2021-01-05|Applied Materials, Inc.|Selective nitride removal|
KR20190129718A|2018-05-11|2019-11-20|에이에스엠 아이피 홀딩 비.브이.|Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures|
US10797133B2|2018-06-21|2020-10-06|Asm Ip Holding B.V.|Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures|
US10612136B2|2018-06-29|2020-04-07|ASM IP Holding, B.V.|Temperature-controlled flange and reactor system including same|
KR20200002519A|2018-06-29|2020-01-08|에이에스엠 아이피 홀딩 비.브이.|Method for depositing a thin film and manufacturing a semiconductor device|
US10755922B2|2018-07-03|2020-08-25|Asm Ip Holding B.V.|Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition|
US10388513B1|2018-07-03|2019-08-20|Asm Ip Holding B.V.|Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition|
US10872778B2|2018-07-06|2020-12-22|Applied Materials, Inc.|Systems and methods utilizing solid-phase etchants|
US10755941B2|2018-07-06|2020-08-25|Applied Materials, Inc.|Self-limiting selective etching systems and methods|
US10767789B2|2018-07-16|2020-09-08|Asm Ip Holding B.V.|Diaphragm valves, valve components, and methods for forming valve components|
US10672642B2|2018-07-24|2020-06-02|Applied Materials, Inc.|Systems and methods for pedestal configuration|
US10483099B1|2018-07-26|2019-11-19|Asm Ip Holding B.V.|Method for forming thermally stable organosilicon polymer film|
US11053591B2|2018-08-06|2021-07-06|Asm Ip Holding B.V.|Multi-port gas injection system and reactor system including same|
US10883175B2|2018-08-09|2021-01-05|Asm Ip Holding B.V.|Vertical furnace for processing substrates and a liner for use therein|
US10829852B2|2018-08-16|2020-11-10|Asm Ip Holding B.V.|Gas distribution device for a wafer processing apparatus|
US11024523B2|2018-09-11|2021-06-01|Asm Ip Holding B.V.|Substrate processing apparatus and method|
US11049751B2|2018-09-14|2021-06-29|Asm Ip Holding B.V.|Cassette supply system to store and handle cassettes and processing apparatus equipped therewith|
US11049755B2|2018-09-14|2021-06-29|Applied Materials, Inc.|Semiconductor substrate supports with embedded RF shield|
US10892198B2|2018-09-14|2021-01-12|Applied Materials, Inc.|Systems and methods for improved performance in semiconductor processing|
US11062887B2|2018-09-17|2021-07-13|Applied Materials, Inc.|High temperature RF heater pedestals|
US10847365B2|2018-10-11|2020-11-24|Asm Ip Holding B.V.|Method of forming conformal silicon carbide film by cyclic CVD|
US10811256B2|2018-10-16|2020-10-20|Asm Ip Holding B.V.|Method for etching a carbon-containing feature|
US11121002B2|2018-10-24|2021-09-14|Applied Materials, Inc.|Systems and methods for etching metals and metal derivatives|
US10381219B1|2018-10-25|2019-08-13|Asm Ip Holding B.V.|Methods for forming a silicon nitride film|
US11087997B2|2018-10-31|2021-08-10|Asm Ip Holding B.V.|Substrate processing apparatus for processing substrates|
US11031242B2|2018-11-07|2021-06-08|Asm Ip Holding B.V.|Methods for depositing a boron doped silicon germanium film|
US10818758B2|2018-11-16|2020-10-27|Asm Ip Holding B.V.|Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures|
US10847366B2|2018-11-16|2020-11-24|Asm Ip Holding B.V.|Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process|
US10559458B1|2018-11-26|2020-02-11|Asm Ip Holding B.V.|Method of forming oxynitride film|
US11217444B2|2018-11-30|2022-01-04|Asm Ip Holding B.V.|Method for forming an ultraviolet radiation responsive metal oxide-containing film|
US11158513B2|2018-12-13|2021-10-26|Asm Ip Holding B.V.|Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures|
US10920319B2|2019-01-11|2021-02-16|Applied Materials, Inc.|Ceramic showerheads with conductive electrodes|
KR20200091543A|2019-01-22|2020-07-31|에이에스엠 아이피 홀딩 비.브이.|Semiconductor processing device|
CN111524788A|2019-02-01|2020-08-11|Asm Ip私人控股有限公司|Method for topologically selective film formation of silicon oxide|
TW202044325A|2019-02-20|2020-12-01|荷蘭商Asm Ip私人控股有限公司|Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus|
KR20200108243A|2019-03-08|2020-09-17|에이에스엠 아이피 홀딩 비.브이.|Structure Including SiOC Layer and Method of Forming Same|
USD935572S1|2019-05-24|2021-11-09|Asm Ip Holding B.V.|Gas channel plate|
USD922229S1|2019-06-05|2021-06-15|Asm Ip Holding B.V.|Device for controlling a temperature of a gas supply unit|
USD931978S1|2019-06-27|2021-09-28|Asm Ip Holding B.V.|Showerhead vacuum transport|
US11227782B2|2019-07-31|2022-01-18|Asm Ip Holding B.V.|Vertical batch furnace assembly|
USD930782S1|2019-08-22|2021-09-14|Asm Ip Holding B.V.|Gas distributor|
USD940837S1|2019-08-22|2022-01-11|Asm Ip Holding B.V.|Electrode|
法律状态:
2002-08-01| STCF| Information on status: patent grant|Free format text: PATENTED CASE |
2006-02-17| FPAY| Fee payment|Year of fee payment: 4 |
2010-02-18| FPAY| Fee payment|Year of fee payment: 8 |
2013-12-17| FPAY| Fee payment|Year of fee payment: 12 |
优先权:
申请号 | 申请日 | 专利标题
US08/772,012|US5953635A|1996-12-19|1996-12-19|Interlayer dielectric with a composite dielectric stack|
US09/305,147|US6191050B1|1996-12-19|1999-05-04|Interlayer dielectric with a composite dielectric stack|
US09/726,504|US6437444B2|1996-12-19|2000-11-29|Interlayer dielectric with a composite dielectric stack|US09/726,504| US6437444B2|1996-12-19|2000-11-29|Interlayer dielectric with a composite dielectric stack|
[返回顶部]